This site may earn affiliate commissions from the links on this page. Terms of use.

Intel'southward already-rough process technology ramp took another hit last week. According to Marking Li, an electronics engineer and analyst with Bernstein, the company volition delay its introduction of Extreme Ultraviolet Lithography (EUV) until 2022. That's several years after rivals TSMC and Samsung are expected to have the engineering in play — maybe.

The problem is related, at least in part, to the full general delays that have hit Intel's 10nm line. Considering it takes years to fit a foundry for a new process node and to bring new tools online, these companies brand plans for the specific characteristics of each node years in advance. It's non impossible to retrofit a node with new technology, but information technology'southward both expensive and time-consuming. Since process node advances are typically tied to the introduction of new technologies and refined manufacturing techniques as opposed to any single physical metric of semiconductor feature size, it makes both business and marketing sense to align the introduction of new technologies with the introduction of new nodes. This is peculiarly truthful for EUV, which requires very unlike manufacturing conditions and tolerances compared with standard 193nm ArF lithography.

We first reported on rumors that Intel's 10nm would be delayed dorsum in 2022. Imagine if the visitor had hit its original target and begun launching 10nm in 2022. With Tick-Tock withal ticking along, 7nm would've arrived in 2022 – 2022 (bearing in mind that Intel'due south process nodes have tended to striking more ambitious targets that map to smaller nodes at rival foundries). If Intel could've kept to this timeline, 7nm and EUV would've arrived synonymously for it, the same way they take (more or less) for Samsung and TSMC. Only Intel's timeline did slip — and with its 10nm node introduction pushed back to holidays 2022, the visitor won't be able to introduce EUV until the 7nm node, currently planned for 2022.

The reason at that place's a "maybe" attached to all of this is that EUV is the original "real soon now" engineering science. The commencement papers proposing soft ten-ray imaging were published in 1988. The beginning national program for the development of EUV began in 1995 – 1996. Intel'due south starting time roadmap slide, published in 2000, called for the introduction of EUV in manufacturing by 2004 or sooner. Fourteen years later, nosotros're withal waiting for the manufacturing tools to catch up with the capabilities the semiconductor industry needs them to deliver.

The major foundries (including GlobalFoundries, until last week), have been talking a big game about EUV introduction for years now. TSMC'south commencement 7nm node doesn't apply it, just a later variant, 7FF+, will. Samsung is belongings its ain 7nm introduction until EUV is ready and claiming it will introduce the technology in the starting time half of 2022. Anandtech covered some of these announcements past TSMC earlier this year. Commencement, expect at the size of the improvement the visitor promises to customers who might prefer its 7FF+ (EUV) as compared with 7FF (no EUV):

The improvements promised by 7FF+ over 7FF are tiny. The company hasn't fifty-fifty provided an gauge for improved functioning, across "higher." 1 reason for this is that EUV is primarily expected to reduce error rates, lower manufacturing times, and otherwise improve the cost structure of the foundry business concern, every bit opposed to yielding significant performance improvements. In fact, it's possible that TSMC is planning to deliver incremental improvements to the node to striking these performance and power targets over and across EUV. Alternately, it's possible these are the benefits that introducing EUV in non-critical layers can be expected to provide. But a few paragraphs after in the story, there's this:

TSMC admits that at present the average daily power levels of the lite sources for their EUV tools is only at 145 Due west, not enough for commercial usage. Some of the tools tin sustain 250 W production for a couple of weeks and TSMC has plans to striking 300 W later this year, merely EUV tools still demand improvements. There are likewise some issues to be solved with things like pellicles (they transmit 83% of EUV light and are expected to hit ninety% adjacent twelvemonth), so EUV lithography in general is not ready for prime fourth dimension just now, simply is on rail for 2022 – 2022.

Machines with 200W source power were originally projected for a 2009 commitment. 9 years afterward, we withal don't have them. There was a time (2011) when companies were predicting the delivery of 500W sources by mid-2013. All of these details and public presentations are available in an EUV presentation compiled past lithography guru Dr. Christopher Mack dorsum in 2022. In 2022, the 250W promised state was going to be reached in 2022. In 2022, we're supposedly a twelvemonth away.

Is it possible that TSMC and Samsung have finally cleared the roadblocks and that the path to a useful pellicle and EUV manufacturing solution is just 4-6 months from finished? Sure. But read a tiny fleck between the lines, here. These companies are emphasizing that their plans for EUV are to innovate information technology gradually and in non-critical areas first. They're hedging bets. Many of the announcements effectually EUV production to date have been heavily qualified. When ASML announced information technology had hit its throughput specification of 125 wafers per hr in a TWINSCAN NXB:3400 concluding year, it didn't announce that information technology had actually manufactured annihilation using the equipment in question.

What all this likely means is that the introduction of EUV will either be further delayed as companies struggle towards 250W source power in applied manufacturing, along with an appropriate pellicle solution, or that the engineering will ramp just gradually and over several years. Based on how slow and uncertain the technology's ramp has been to date, it's entirely possible that TSMC and Samsung will spend several years adapting information technology for employ in unlike parts of the manufacturing process.

Intel, meanwhile, will be doing the aforementioned thing. Call up what we said in the beginning — foundries are always looking ahead to the side by side engineering science node and planning to introduce capabilities for it. Granted, Intel'south overwhelming focus is going to be on getting its 10nm product completed and out the door correct now, but the visitor has been pursuing EUV for decades. Information technology may not exist the first to ship SoCs that use the technology, simply that doesn't mean Intel tin't go along ramping EUV for insertion at its future 7nm node while simultaneously working to go its more conventional 10nm process out the door.

The eyes of this kind of delay aren't great, but I'd still counsel caution before concluding that this EUV news is additional proof of Intel's loss of overall procedure applied science leadership. Every foundry committed to building leading-edge products is working on EUV, only nobody — nobody — has yet demonstrated that they can build and ship SoCs in volume while using EUV for disquisitional layers. This step may not happen until the introduction of 5nm; Scotten Jones, president of IC Knowledge LLC, notes that he expects solutions to be in place for contacts and vias at 7nm, only that the timeline for hitting foundry targets for 5nm is very tight and requires new pellicles.

Intel's EUV delay isn't a new wrinkle. It's an unsurprising result of the company's conclusion to delay 10nm. The caste to which this could impact the company's future product development will depend a great deal on how successful the other foundries are in shipping EUV from their own factories. Despite the hype around the engineering science, don't expect to run across information technology making an immediate or dramatic difference in anyone's product operation in the near future. That's not what EUV delivers is expected to deliver, and the gains are going to curl out gradually over multiple nodes as manufacturers insert the engineering science.

At present Read: GlobalFoundries Parting the Leading Edge is an Ominous Sign for Foundry Industry, Intel at a Crossroads, and EUV Integration at 5nm Still Risky, With Major Issues to Solve